Semiconductor

Publications & Papers

Effects of measured spectral range on accuracy and repeatability of OCD analysis

Source: Solid State Technology July 2013
Authors: Franz Heider (Infineon Technologies, Villach, Austria); Rahim Forouhi, Jeffrey Roberts, Jennie Huang and John Lam (n&k Technology, San Jose, CA)
Abstract: Discusses the capabilities of the n&k Olympian, which utilizes broadband polarized reflectance in conjunction with RCWA in order to obtain detailed trench profile results to help control manufacturing processes for power semiconductor applications.

 


Trench Geometry and Resist Profiles from Modeling of Polarized Optical Spectra

Source: 2012 SEMI Advanced Semiconductor Manufacturing Conference (ASMC) May 15-17, 2012, Saratoga Springs, NY
Authors: Franz Heider, Christian Kayser, Hans Millonig, Samrat A. Sundaraneedi (Infineon Technologies Austria AG); Jeffrey W. Roberts (n&k Technology)
Abstract: Profiles of trenches in silicon were calculated by fitting measured polarized reflectance spectra with theoretically calculated RCWA (rigorous coupled wave analysis) models. The agreement of trench geometry from optical measurements with SEM cross-sections was good. The contact-free optical measurement on productive wafers constitutes a serious alternative to the time consuming and destructive cross-section analyses. Critical dimensions (CD) of gratings of resist lines were obtained from RCWA modeling of optical spectra. An i-line resist with lines of larger spacing and a UV resist with lines of smaller pitch were investigated. In both cases the top and bottom CDs varied over a wide range due to the change in exposure dose across the wafer. The good agreement between optically obtained CD values, in-line CD SEM data and cross-section SEM data makes the optical method an ideal tool for process control.

 


Optical Detection and Characterization of Graphene Using Broadband

Spectrophotometry
Source: Journal of Applied Physics, September 2008
Authors: Stephane Allegret (IMEC), Stefan De Gendt (Department of Chemistry, KULeuven), Wei-E Wang (Intel), Alexander Gray and Mehdi Balooch (n&k Technology)
Abstract: The spectra of optical constants, index of refraction (n), and extinction coefficient (k) of graphene and graphite are obtained in the wavelength range of 190­ 000 nm(6.53­ .24 eV) using broadband optical spectrophotometry in conjunction with the Forouhi­ Bloomer dispersion relations for n and k. Measurement is made possible by the use of a multilayer substrate consisting of bulk Si and a 3000 Å SiO2 film. The effect of multiple internal reflections between the Si/SiO2 and SiO2/graphene interfaces amplifies the attenuating effect of the graphene layer, thereby improving the sensitivity of the reflectance measurement by a factor of 27 in the deep ultraviolet region of the spectrum. Maximum sensitivity is observed in the deep ultraviolet region of the spectrum, where a strong peak in the spectrum of the extinction coefficient of graphene is identified. The proposed method enables fast nondestructive angstrom-level thickness measurements of graphene and graphite. In this work, layers ranging in thickness between 3.8 Å (graphene) and 792.8 Å are detected, measured, and characterized. Reflectance spectra of graphene and graphite on Ni, Co, and Fe substrates are calculated. Differences of 1.1%­ .0% between the bare substrate and the graphene on the substrate are predicted in the deep ultraviolet region of the spectrum, which makes graphene detectable and measurable on these substrates.

 


The Optimization of CD Uniformity and Measurement on Mask and Wafer

Source: Photomask conference, April, 2007, Japan
Authors: Yongkyoo Choi, Munsik Kim, Oscar Han
Abstract: n&k analyzer RT system was used to detect global CD variation on mask. Our system enables the custom to remove local CD variation on mask. Because local CD variation on wafer is large compared with the effect of local CD variation of mask, global CD uniformity can be measured with suppressed local CD variation. In this paper, local CD variation of mask and wafer is evaluated, and area CD and smoothing methods are used to measure CD on mask and wafer, and the correlation of global CD of mask and field CD of wafer are evaluated. By these methods, CD measurement repeatability can be enhanced to get closer correlation of mask and wafer. Close correlation makes fine CD correction on mask to get better field CD uniformity on wafer. And the repeatability of field to field CD uniformity of wafer is evaluated according to measurement tool of CD-SEM and scatterometry.

 


Uniformity Improvement of HfO2 and Si3N4 Film Growth Using the n&k Technology Broadband Spectrophotometer

Source: AVS 5 th International conference on ALD, 2005
Authors: Alexander Grey and John Lam of n&k Technology
Abstract: Describes the use of an n&k Analyzer to non-destructively measure ultra-thin films (< 5 Angstrom) and evaluate the uniformity of ALD process with sub-Angstrom resolution. The technique can be used for the improvement of process uniformity for single- and multi-layer film stacks deposited on 200 and 300 mm wafers.

 


Using Broadband Reflectometry for Fast Trench-Depth Measurement

Source: Solid State Technology (2003)
Authors: R. Herrick, T. Pardue (Fairchild, UT); P. Walsh (n&k)
Abstract: Discusses the ability for the n&k 3000 TMS tool to measure linear trench devices such as trench depth, width monitoring, and mesa oxide thickness with high precision and accuracy. Shows the strong correlation n&k has with AFM, SEM and profilometry. Repeatability and Reproducibility of measurement was also shown.

 


Broadband Spectrophotometry: A Fast, Simple, Accurate Tool

Source: Photonics Spectra (2002)
Authors: I. Bloomer (n&k); R. Mirsky
Abstract: Compares broadband spectrophotometric method of thin film characterization and trench measurement to other methods (ellipsometer, profilometer, AFM, SEM, deep-UV interferometer) with respect to the increasing demands of metrology. Addresses the needs for greater inspection frequencies and more accurate, rapid, non-destructive and low-cost characterization tools for process development and control. Broadband spectrophotometry meets and exceeds the metrology requirements that are necessary to produce high-performance protective coatings.

 


Process Monitoring of Etched Fused Silica Phase Shift Reticles

Source: BACUS (2002)
Authors: C. Brooks, M. Buie (Etec); N. Waheed, P. Martin (Photronics); P. Walsh, G. Evans (n&k)
Abstract: This paper, authored by Dr. Cynthia Brooks and Dr. Melisa Buie of Etec Systems,
Applied Materials Mask Business Group; Nabila Waheed and Patrick Martin of Photronics LPI; and Dr. Phillip Walsh and Glenn Evans of n&k Technology, describes the use of an n&k Analyzer Model 1512RT with TMS to measure the phase shift created by etching trenches directly into the quartz substrate of a phase shift reticle. Results from the n&k tool are compared with measurements from a contact profiler as well as a laser interferometer-based phase shift measurement tool.

 


Physical and Electrical Characterization of Hafnium oxide and Hafnium Silicate Sputtered Films

Source: Journal of Applied Physics (2001)
Authors: A. Callegari, E. Cartier, M. Gribelyuk, H.F. Okorn-Schmidt, T. Zabel (IBM)
Abstract: Dr. Sandro Callegari of IBM T. J. Watson Research Center, New York, utilized an n&k Analyzer to optically characterize n, k, thickness, and optical energy band gap of high-k gate dielectrics. Results were compared with both electrical and TEM methods.

 


Characterizing Bi-Layer ARC for Advanced Lithography

Source: Arch Chemical’s Interface Symposium (2000)
Authors: D. Likhachev, J. Lam, D. Harrison, I. Bloomer
Abstract: This abstract, authored by Dmitriy Likhachev, John Lam, Dale Harrison, and Dr. Iris Bloomer of n&k Technology, was submitted to the Arch Chemical Interface Symposium, 4/17/00. The abstract describes the characterization of a bi-layer Si3N4 / silicon-rich SiNx ARC layer, with z-direction compositional non-uniformity of the silicon-rich SiNx.

 


Innovations for Characterizing Phase -Shift Mask Materials

Source: Solid State Technology (2000)
Author: A.R. Forouhi
Abstract: Describes the importance of transmittance measurements in the complete characterization of phase shift materials.

 


Cross-Linking Thin Film Characterization Technique for Data Storage, Semiconductor, and Flat Panel Display Devices

Source: SPIE Photonic (1999)
Authors: I. Bloomer, D. Harrison (n&k); S. Prakash (DAS); K. Zhang (EG&G); S. Lian (Motorola)
Abstract: The message of this paper is that the n&k Analyzer is a universal thin film characterization tool. The applicability of the n&k Analyzer various films used in different industries are described in this article by Dr. Shiva Prakash of DAS Devices, Dr. Sean Lian of Motorola, and Dr. Zhang of EG&G.

 


Modeling of Optical Constants of Materials Comprising Photolithographic Masks in the VUV

Source: BACUS Proceedings (1999)
Authors: D. Harrison, J. Lam, G.G. Li, A.R. Forouhi (n&k); G. Dao (Intel)
Abstract: Vacuum ultra-violet lithography is the future technology for 0.10 mm or less IC production. To accommodate the demands of such small geometries, an entirely new class of advanced lithographic tools, along with the appropriate metrology tools, need to be developed and implemented.

From a lithography and metrology point of view, the industry is in the infancy stage. Working with
Giang Dao of Intel, n&k Technology has been able to extend the analytical capabilities of the present UV-Visible n&k Analyzers to provide values of n, k, R, and T at the VUV wavelength of 157 nm for Intel.

Basically, today’s n&k Analyzer, regardless of the model – whether the n&k 3000 or n&k 1200 –
can be used to establish the foundation for n and k values at 157 nm.

 


Optical Characterization of 193nm Photoresist and Antireflective Undercoatings

Source: 4th International Symposium on 193nm Lithography (1998)
Authors: G.G. Li, A.R. Forouhi, I. Bloomer, D.W. Bloom
Abstract: This extended abstract was authored by Dr. George Li, Dr. Rahim Forouhi, Dr. Iris Bloomer, and D.W. Bloom of n&k Technology, and submitted to the Fourth International Symposium on 193nm Lithography, September 1998. It demonstrates the characterization of 193nm ARC, and 193nm Photoresist on 193nm ARC. It also displays the capability of the n&k analyzers to simulate standing wave and swing curves.

 


New Characterization Technique for SiON AR Coatings

Source: Semiconductor International (1998)
Authors: S. Lian (Motorola); A.R. Forouhi, G.G. Li, I. Bloomer (n&k)
Abstract: Dr. Sean Lian of Motorola, et al., used n&k Analyzer to fully characterize Silicon Oxy-nitride films, generally denoted as SiON. Depending on the composition, that is the relative amount of Si, O, and N, films with entirely different optical and electrical properties can be produced. n&k Analyzer was able to very rapidly measure and very accurately characterize widely different SiON films.

 


Dielectric Antireflective Coatings for DUV Lithography

Source: Solid State Technology (1997)
Authors: C. Bencher, C. Ngai (Applied Materials); B. Roman, S. Lian, T. Vuong (Motorola)
Abstract: In a search to develop a dielectric ARC for DUV lithography, it was found that the n&k Analyzer provided reliable and accurate values for thickness, n, and k of unknown films. The values for n, k and thickness obtained by the n&k Analyzer were then used in a “Prolith” lithography simulation software to predict line-width characteristics. Thus, using n&k Analyzer, Applied Materials was able to develop a well-characterized process.

 


Optical Characterization of Silicon-On-Insulator (SOI)

Source: Materials Research Society Proceedings (1996)
Authors: G.G. Li, A.R. Forouhi, I. Bloomer, A. Auberton-Herve, A. Wittkower
Abstract: Silicon-on-Insulator wafers are used to fabricate advanced high-speed semiconductor devices. SOI wafers consist of a top layer of crystalline silicon (c-Si) and an under-layer of silicon dioxide (SiO2, i.e. buried oxide or BOX layer) deposited on a silicon substrate. Generally, the thicknesses of both the crystalline silicon and the buried oxide must be determined simultaneously. Furthermore, a peculiar feature of SOI is the rough interface between the BOX layer and the Si substrate

The n&k Analyzer uniquely and simultaneously determined the thicknesses of the crystalline silicon and the buried oxide, optical constants of materials, as well as the interface roughnesses of SOI structure.

Dr. Auberton-Herve of SOITEC, a company that produces SOI wafers, used the n&k Analyzer to characterize SOI wafers. The results are published in Materials Research Society (MRS) Symposium Proceeding, 1997.

 


Optical Characterization of Amorphous and Polycrystalline Silicon Films

Source: Solid State Technology (1995)
Authors: E. Ibok, S. Garg (AMD); G.G. Li, A.R. Forouhi, I. Bloomer (n&k); J. Ager III (LBL)
Abstract: Depending on processing conditions, the microstructure (crystallinity, grain size, surface roughness) of deposited silicon films can widely vary during integrated circuit (IC) processing. They can be pure amorphous, pure poly, or a mixture of amorphous and poly silicon. The state of the microstructure of the silicon film can significantly affect the yield and performance of the IC. Thus, determining and controlling the microstructure of deposited silicon films is of critical importance.

Dr. Ibok of Advanced Micro Devices utilized the n&k Analyzer to fully characterize the microstructure of deposited silicon films. With the results obtained, the amorphous-to poly phase transition was able to be characterized.

 


Optical Characterization of Titanium Nitride Thin films

Source: Semiconductor Fabtech, 7th Edition
Authors: G.G. Li, D.W. Bloom, A.R. Forouhi
Abstract: Accurately characterizing TiN films as an ARC layer for 0.25 and 0.18 technologies is significant due to its use as a diffusion barrier between silicon and the contact metal. Characterizing TiN is often difficult with ellipsometry. Generally, refractive (n), extinction coefficient (k), and thickness (t), cannot be determined simultaneously, from a single measurement, using other methods. In particular, with ellipsometry, whether single-wavelength or spectroscopic, only two out of the three quantities (n, k, and t) can be determined simultaneously. Only with the underlying assumption that k equals zero in the visible range from which n and k are determined, can spectroscopic ellipsometry simultaneously determine n, k, and t for certain materials. Then, this knowledge of t is used to determine n and k at other wavelengths. Ellipsometry (spectroscopic or single wavelength), however, fails for a material like TiN, for which k cannot be assumed to be zero (or even close to zero) at visible wavelengths. Therefore, in order to determine n and k of a thin film of TiN, using ellipsometry, one must have a prior knowledge of the thickness of the film. Using n&k products, n, k, and t of a film like TiN can be determined simultaneously.

Receive A Copy

To receive a copy of any of
our publications, please submit
a request by:

e-mail: inforequest@nandk.com

Phone: 408-513-3800

Fax: 408-513-3850

Standard mail:
n&k Technology, Inc.
80 Las Colinas Lane
San Jose, CA 95119

Malcare WordPress Security